繁体   English   中英

Verilog中的SystemVerilog typedef等效项

[英]SystemVerilog typedef equivalent in Verilog

我可以在Verilog中使用与SystemVerilog的typedef相等的任何构造吗?

我知道在SV中,我可以为类型定义创建自己的名称,并在构建复杂的数组定义时使用它。 我知道在Verilog标准(即Verilog-1995)中不存在typedef 但是有可能绕开它吗?

今天,几乎所有支持Verilog的当前工具也都支持SystemVerilog中的typedef构造。 我将花费您的时间弄清楚如何迁移到SystemVerilog,而不是尝试解决Verilog中缺少它的问题。 您在Verilog中可以使用的最接近的东西是`define语句。

SystemVerilog具有用户定义的数据类型,而Verilog没有。

暂无
暂无

声明:本站的技术帖子网页,遵循CC BY-SA 4.0协议,如果您需要转载,请注明本站网址或者原文地址。任何问题请咨询:yoyou2525@163.com.

 
粤ICP备18138465号  © 2020-2024 STACKOOM.COM